联系我们 设为首页 加入收藏
您当前所在位置:首页 > 新闻中心 > 中芯国际和新思科技携手推出ReferenceFlow4.0
中芯国际和新思科技携手推出ReferenceFlow4.0
http://www.pudongoffice.com 浦东写字楼网-浦东办公楼网   来源:浦东写字楼   2009-7-2 5:35:34
全球领先的半导体设计和制造软件及知识产权(IP)供应商新思科技公司(NASDAQ: SNPS)与中国内地最大的芯片代工企业中芯国际集成电路制造有限公司("SMIC";NYSE: SMI 以及 SEHK: 0981.HK)日前宣布,将携手推出全新的65纳米 RTL-to-GDSII参考设计流程4.0(Reference Flow 4.0)。作为新思科技专业化服务部与中芯国际共同开发的成果,该参考流程中增加了 Eclypse(TM) 低功耗解决方案及 IC Compiler Zroute 布线技术,为设计人员解决更精细工艺节点中遇到的低功耗和可制造性设计(DFM)等问题提供更多的可用资源。这样,客户可迅速获取到优化的途径到中芯国际65纳米制程的投片,从而满足严苛的项目时间要求。

    参考设计流程4.0利用了新思科技 Eclypse 低功耗解决方案的关键组成部分 Galaxy(TM) 实施平台,从而使设计人员能够在包括 RTL 综合与测试、物理实现与验收阶段在内的整个设计流程中,实施先进的低功耗技术。此外,整个参考流程中还采用了 IC Compiler 的 Zroute 布线技术,该技术使用先进的布线算法对制造规则的影响、时序以及其他设计目标进行评估,因而能支持中芯国际的65纳米布线规则。集成的 Zroute 通过为特定芯片的设计制定出设计规划、面积、功率和信号完整性(SI)等目标,使可制造性设计(DFM)的优化技术更加合理。

    通过采用经由中芯国际内部开发的 CCS 标准单元库、SRAM、PLL、输入/输出库和低功耗单元库,本参考设计流程得到了验证。验证中采用了分别具备电源闸控(Power Gating)和数据保持能力的多电源电压(multiple -VDD)和多电源模块。该流程的其他关键特性还包括:利用 IC Compiler及可测试性设计技术(DFT) 结合支持可自动生成全速(at-speed)测试的片上时钟控制功能实现的多种参数特性多重模式 (Multi-Corner Multi-Mode,MCMM) 优化及关键面积分析与压缩。

    "中芯国际的65纳米逻辑制程要求一个能够解决时序、漏电和可制造性设计等关键性问题的流程,以减少风险并提高设计结果质量。"中芯国际设计服务中心副总裁欧阳雄表示,"我们通过与新思科技密切合作,再次为我们双方的客户推出全新解决方案,使他们能够同时受益于我们两家公司的领先技术。随着我们即将向研制更先进的工艺节点技术迈进,我们期待能够与新思科技继续保持合作关系。"

    "新思科技与我们的半导体代工合作伙伴密切合作,旨在加快客户的设计进入量产的过程,"新思科技营销与战略联盟副总裁Rich Goldman 表示,"我们与中芯国际携手为IC设计团队提供一个切实可行的参考流程,使之利用新思科技的低功耗和DFM优化技术,加快其以实现中芯国际65纳米制程技术为目的的片上系统的设计进程。"浦东写字楼网

下一篇:张江高科增资3亿搞创投
上一篇:张江集团援建都江堰项目开工
网站首页   |    公司简介   |    联系浦东写字楼网   |    浦东写字楼网留言中心   |    浦东写字楼网经纪人招聘   |    公司注册咨询   |   
版权所有:浦东写字楼网-浦东办公楼网 联系电话:021-58561198 传真号码:021-58561198
Email:hqsc888@hotmail.com沪ICP备09072254号-8公安备案号:31011502009041
社保代缴公司 上海浦东写字楼/浦东办公楼出租